ブログ

Classiqの可視化を使って量子コンピューティングを始めよう

7
11月
,
2023
Anastasia Marchenkova

量子計算は複雑なアルゴリズムと量子状態を使用するため、この分野の概念に慣れるための学習曲線は急になります。量子計算はまだ70年代、つまりパンチカードやゲートレベルでのプログラミングの時代だと言う人もいます。ある意味、それは正しいです。 

ブロッホ球は、球の表面上に可視化された量子ビット("qubit")の状態など、核となる量子概念を理解するための優れた入口を提供します。量子の基本的な考え方を視覚的に理解することは、量子コンピューティングにおける単一量子ビットゲートのような重要なコンポーネントがどのように動作するかを学ぶためのメンタルマップを提供する。一部の量子プラットフォームはゲートレベルのプログラミングに特化しており、ブロッホ球の回転を視覚的に表現しているが、それでもエンタングルメントやマルチ量子ビットのゲートのような概念を視覚化するのは難しい。 

しかし、プログラミングがメモリ管理を扱うものから、より抽象的な言語へと進化してきたように、量子コンピューティングも同様の変遷を遂げている。理論的で抽象的な概念を可視化する能力は、量子コンピューティングを現状から未来へと進化させる中核的なメカニズムである。Classiqのようなプラットフォームは、回路合成とモデリング、制約、ハードウェアとソフトウェアの最適化など、可視化を通じて量子コンセプトを探求することで、この変化を促進します。

アルゴリズム思考ですべての人に量子コンピューティングを

これらのツールは、理解力を高め、量子コンピュータを使用するための最善の選択を可能にし、回路設計のプロセスを簡素化することで、量子アルゴリズム開発に貢献する。量子アルゴリズムごとに車輪を再発明する必要はない。これにより、量子内部の開発者や研究者だけでなく、量子コンピューティング以外の分野の専門家にとっても、量子コンピューティングがより身近で効果的なものとなる。

Classiqは、ゲートレベルでのコーディングの代わりに、"What"、つまりアルゴリズムの機能に焦点を当てることができます。そして、実世界の制約に最適化しながら、この機能を満たす回路を自動的に生成します。 

この方法にはいくつかの利点があります:

  • 効率性: 特に多数のqubitを扱う場合に時間を節約可能です。
  • リソースの見積もり: ユーザーは、カスタム開発を始める前に、アルゴリズムの実行に必要なリソースを見積もることができます。
  • アクセシビリティ: 専門家が低レベルのハードウェアの複雑さを理解する必要がないため、量子コンピューティング以外の分野でも利用できます。
  • 将来性: 量子計算が複雑化しても、Classiqのアプローチは利用可能な一方、低レベルのコーディングは利用が難しくなります。

プログラミング全開への課題

量子コンピュータを使うのに、量子物理学とコンピュータサイエンスの複数の博士号と、化学、金融、航空宇宙などの専門知識は必要ないはずです。バナー博士になりたいのなら話は別ですが。

量子コンピューターの複雑さが増すにつれ、"ハードウェアに直接"プログラミングすることは不可能となりつつあります。低レベルのプログラミングは、古典的なコンピューティングと同様に、依然としてその役割を担っていますが、多くのエンジニアや分野の専門家は、以下のようなハードウェア工学のような難題に取り組むことなく、量子計算のパワーを活用したいと考えています:

  • qubit管理の複雑さ: qubitを増やすと、qubitそのものを管理するだけでなく、対になる相互作用や2-qubitのゲートも管理しなければなりません。これには制御ロジックを追加する必要があり、すぐに手に負えなくなります。
  • ハードウェアの最適化: 最も頻繁に使用されるqubitを安定に保つことは、効率的な量子計算にとって極めて重要です。そのためには、基礎となるハードウェアアーキテクチャを理解し、日々の較正の変化、qubitのエラー率、さらには"デッド”qubitに応じてコードを最適化する必要があります。 
  • 指数関数的な誤り訂正の複雑さ: 新しいqubitが追加されるたびに、潜在的な相互作用とアルゴリズム時間は指数関数的に増加し、より多くのエラーが発生します。

量子コンピュータの視覚的旅

我々一般人にとって、Classiqは単なる量子計算プラットフォームではありません。Classiqのプラットフォームは、「アルゴリズム的」に考えることを重視しています。これは、アルゴリズムが "どのように "達成するかよりも、"何を "達成するかに焦点を当てるもので、古典計算の関数型プログラミングのアプローチであり、量子コンピュータの本質を理解することなく、専門家が量子コンピュータを使うための扉を開くものです。ループの類似物は量子計算には存在していません! 

Classiqの合成エンジンは、広大な設計空間を探索して最適な回路を選択するため、手作業による最適化が不要になると同時に、異なるハードウェアプロバイダ間でもコードの移植性が高まります。Classiqはアルゴリズムだけではなく、以下のような機能を提供します:

  • 回路ビジュアライザー  
  • ハードウェア比較テーブル
  • 回路接続マップ

これらのツールは理解を深めるだけでなく、ハードウェア固有の知識を必要なしに
選択した量子アーキテクチャが最適であることを保証します。 

量子プログラミングがいかに素晴らしく、身近なものになるかを示すために、量子化学の研究を加速させるためにClassiqのツールを使った研究者の旅を見てみましょう。 

ドメイン固有の専門知識 

回路ビジュアライザーは、量子回路とその構成を理解するための青写真です。量子回路を視覚的に表現することで、初心者の学習を簡素化します。各ドメインの専門家は、自分が達成したいことを持つ回路の制約を調べ、操作することが可能です。ゲートレベルの詳細を抽象化することで、回路ビジュアライザーは、金融、化学、サイバーセキュリティ、および他ドメインの専門家がアルゴリズムの最適化に貢献するための扉を開きます。 

例えば、量子コンピュータを使って研究を加速する量子化学者は、化学シミュレーションを行う低レベルのVQE(Variational Quantum Eigensolver)コードを何千行も書く必要はない。合成や研究のために最適化された化合物の化学構造など、専門知識が必要な部分に集中すればよいのだ。 

VQEは複雑な量子回路を含み、従来は実装に数千行のコードを書く必要がありました。しかし、Classiqの回路モデリングにより、このプロセスをより幅広いユーザーが利用できるようになります。研究者は、VQE用に最適化された量子回路を迅速に生成することができ、実装に必要な時間と労力を削減することができます。 

ここでは、原子、スピン、電荷、マッピングを追加し、量子コンピュータがエネルギー準位を見つけるための構造を生成するための量子ビットの数を選ぶことができます。これによって化学の専門家は、ゼロからのアルゴリズム開発に没頭することなく、専門分野特有の知識や研究の科学的側面に集中することが可能となります。これはまさにプラグアンドプレイです。 

以下は、ヨーロピアンコールオプションの価格付けのための回路の2つのオプションです。1つは幅(回路内の量子ビット数)に対して最適化されており、もう1つは深さ(何個のゲートが並んでいるか)に対して最適化されています。

 

ビジュアライザーを掘り下げると、左側に回路情報の要約が表示され、深さ、幅、そしてこのアルゴリズムを実行するのに必要なゲート数を見ることができます。クリック1つで下画像の回路が生成され、深さが最適化されているため、ゲート・レベルでコードを手作業で書き直す時間を大幅に節約できます。 

しかし、まだもっと上手にやることは可能です。qubit数のようなパラメータを追加し、回路の深さや幅をモデルに最適化できるようにしましたが、この回路はまだ実際のハードウェアに最適化されていません。これはすべて、さまざまな最適化をテストするために変更できることを忘れないでください。

比較表によるハードウェア認知

「地図は領土ではない」

  • アルフレッド・コージブスキー  

生成された回路は、量子ハードウェアに直接実装されたものではありません。特定のハードウェアに依存しない高レベルの量子アルゴリズムは、合成(コンパイル)と翻訳(トランスパイル)を通じて、特定の量子ハードウェアと互換性のある命令に変換される必要があります。つまり、量子ハードウェアが受け入れるゲート(回転)、一連のゲートを1つの等価なゲートに統合すること、qubitのルーティングなどの調整などが含まれます。

Classiqでは、リストにあるハードウェアの中から好きなものを選び、コードを変更することなく実行することが可能です。   

これは便利ですね、しかしハードウェアの専門家でないという人はどれを選べばよいのでしょうか?

時間を大幅に節約できる便利な新機能が、ハードウェア比較表です。調べたいハードウェアを選択し、「ハードウェアの比較」をクリックするだけです。   

ここで表示されるのは、この回路に対する特定のハードウェアの実際のリソース見積もりです。この例では、単純なVQE回路では、IonQ QPUが、IBMのグアダルーペを、深さ、マルチqubit・ゲート数(単一qubit・ゲートよりもエラーが発生しやすく、時間がかかる)、総ゲート数など、すべてのパラメータで上回っていることがわかります。 

ハードウェアについて何も知らなくても(IonQ QPUがトラップドイオン量子プロセッサーであり、IBMグアダルーペが超伝導qubitであることはご存知でしょうか?今ならわかりますね!)、アルゴリズムを実行するにはIonQプロセッサーの方が良いかもしれないと考え始めることができます。

ハードウェアの比較表には、回路の実行時間やエラー率など、すべてが載っているわけではありませんが、とりかかりにはなります。それでも、もし確信が持てない場合は、余分な作業をすることなく、両方の量子ハードウェアで回路を実行し、出力を比較することが可能です。

コーディングも、異なるプラットフォーム用に同じコードを書き直すことも、手作業で最適化することもありません。こうして量子的な優位性が得られます。  

より深い最適化のための特定用途向け回路

自分の量子コンピューターがあったらどうでしょうか?私たちの研究者は、回路接続マップを使えば、カスタム・ハードウェアに同じ最適化ループを使えることに気づきます。

現在、量子コンピュータはクラウドをベースにしていますが、オンプレミスのソリューションも高い成長可能性を持っています( Hyperion Research: Snapshot of Quantum Computing Market 、05/2021)。オンプレミスシステムは、量子計算ハードウェアを早期に導入する多くの企業の要件を満たします。この要件とは、独自のデータ入力に対するデータプライバシーや、ハイブリッドアルゴリズムのための古典的コンピューティングとの統合を必要としています。 

しかし、オンプレミスシステム用にフルスタックの量子ソフトウェアシステムをゼロから構築することは、参入へのもう一つの障壁となります。

回路接続マップ(CCM)により、システムはqubitの接続性を理解することができます。つまり、CCMはqubit間の接続を可視化し、Classiqをカスタムハードウェアに使用することを可能にします。

このプラットフォームはアルゴリズム設計に重点を置くだけでなく、ハードウェアが継続的に変更にリンクされることを保証します。このソフトウェアとハードウェアの共生関係により、量子システムは量子的な優位性を獲得することができます。共同設計については、前回のブログ記事「The Key To Full Stack Quantum Computing」をご覧ください。 

量子計算は複雑なアルゴリズムと量子状態を使用するため、この分野の概念に慣れるための学習曲線は急になります。量子計算はまだ70年代、つまりパンチカードやゲートレベルでのプログラミングの時代だと言う人もいます。ある意味、それは正しいです。 

ブロッホ球は、球の表面上に可視化された量子ビット("qubit")の状態など、核となる量子概念を理解するための優れた入口を提供します。量子の基本的な考え方を視覚的に理解することは、量子コンピューティングにおける単一量子ビットゲートのような重要なコンポーネントがどのように動作するかを学ぶためのメンタルマップを提供する。一部の量子プラットフォームはゲートレベルのプログラミングに特化しており、ブロッホ球の回転を視覚的に表現しているが、それでもエンタングルメントやマルチ量子ビットのゲートのような概念を視覚化するのは難しい。 

しかし、プログラミングがメモリ管理を扱うものから、より抽象的な言語へと進化してきたように、量子コンピューティングも同様の変遷を遂げている。理論的で抽象的な概念を可視化する能力は、量子コンピューティングを現状から未来へと進化させる中核的なメカニズムである。Classiqのようなプラットフォームは、回路合成とモデリング、制約、ハードウェアとソフトウェアの最適化など、可視化を通じて量子コンセプトを探求することで、この変化を促進します。

アルゴリズム思考ですべての人に量子コンピューティングを

これらのツールは、理解力を高め、量子コンピュータを使用するための最善の選択を可能にし、回路設計のプロセスを簡素化することで、量子アルゴリズム開発に貢献する。量子アルゴリズムごとに車輪を再発明する必要はない。これにより、量子内部の開発者や研究者だけでなく、量子コンピューティング以外の分野の専門家にとっても、量子コンピューティングがより身近で効果的なものとなる。

Classiqは、ゲートレベルでのコーディングの代わりに、"What"、つまりアルゴリズムの機能に焦点を当てることができます。そして、実世界の制約に最適化しながら、この機能を満たす回路を自動的に生成します。 

この方法にはいくつかの利点があります:

  • 効率性: 特に多数のqubitを扱う場合に時間を節約可能です。
  • リソースの見積もり: ユーザーは、カスタム開発を始める前に、アルゴリズムの実行に必要なリソースを見積もることができます。
  • アクセシビリティ: 専門家が低レベルのハードウェアの複雑さを理解する必要がないため、量子コンピューティング以外の分野でも利用できます。
  • 将来性: 量子計算が複雑化しても、Classiqのアプローチは利用可能な一方、低レベルのコーディングは利用が難しくなります。

プログラミング全開への課題

量子コンピュータを使うのに、量子物理学とコンピュータサイエンスの複数の博士号と、化学、金融、航空宇宙などの専門知識は必要ないはずです。バナー博士になりたいのなら話は別ですが。

量子コンピューターの複雑さが増すにつれ、"ハードウェアに直接"プログラミングすることは不可能となりつつあります。低レベルのプログラミングは、古典的なコンピューティングと同様に、依然としてその役割を担っていますが、多くのエンジニアや分野の専門家は、以下のようなハードウェア工学のような難題に取り組むことなく、量子計算のパワーを活用したいと考えています:

  • qubit管理の複雑さ: qubitを増やすと、qubitそのものを管理するだけでなく、対になる相互作用や2-qubitのゲートも管理しなければなりません。これには制御ロジックを追加する必要があり、すぐに手に負えなくなります。
  • ハードウェアの最適化: 最も頻繁に使用されるqubitを安定に保つことは、効率的な量子計算にとって極めて重要です。そのためには、基礎となるハードウェアアーキテクチャを理解し、日々の較正の変化、qubitのエラー率、さらには"デッド”qubitに応じてコードを最適化する必要があります。 
  • 指数関数的な誤り訂正の複雑さ: 新しいqubitが追加されるたびに、潜在的な相互作用とアルゴリズム時間は指数関数的に増加し、より多くのエラーが発生します。

量子コンピュータの視覚的旅

我々一般人にとって、Classiqは単なる量子計算プラットフォームではありません。Classiqのプラットフォームは、「アルゴリズム的」に考えることを重視しています。これは、アルゴリズムが "どのように "達成するかよりも、"何を "達成するかに焦点を当てるもので、古典計算の関数型プログラミングのアプローチであり、量子コンピュータの本質を理解することなく、専門家が量子コンピュータを使うための扉を開くものです。ループの類似物は量子計算には存在していません! 

Classiqの合成エンジンは、広大な設計空間を探索して最適な回路を選択するため、手作業による最適化が不要になると同時に、異なるハードウェアプロバイダ間でもコードの移植性が高まります。Classiqはアルゴリズムだけではなく、以下のような機能を提供します:

  • 回路ビジュアライザー  
  • ハードウェア比較テーブル
  • 回路接続マップ

これらのツールは理解を深めるだけでなく、ハードウェア固有の知識を必要なしに
選択した量子アーキテクチャが最適であることを保証します。 

量子プログラミングがいかに素晴らしく、身近なものになるかを示すために、量子化学の研究を加速させるためにClassiqのツールを使った研究者の旅を見てみましょう。 

ドメイン固有の専門知識 

回路ビジュアライザーは、量子回路とその構成を理解するための青写真です。量子回路を視覚的に表現することで、初心者の学習を簡素化します。各ドメインの専門家は、自分が達成したいことを持つ回路の制約を調べ、操作することが可能です。ゲートレベルの詳細を抽象化することで、回路ビジュアライザーは、金融、化学、サイバーセキュリティ、および他ドメインの専門家がアルゴリズムの最適化に貢献するための扉を開きます。 

例えば、量子コンピュータを使って研究を加速する量子化学者は、化学シミュレーションを行う低レベルのVQE(Variational Quantum Eigensolver)コードを何千行も書く必要はない。合成や研究のために最適化された化合物の化学構造など、専門知識が必要な部分に集中すればよいのだ。 

VQEは複雑な量子回路を含み、従来は実装に数千行のコードを書く必要がありました。しかし、Classiqの回路モデリングにより、このプロセスをより幅広いユーザーが利用できるようになります。研究者は、VQE用に最適化された量子回路を迅速に生成することができ、実装に必要な時間と労力を削減することができます。 

ここでは、原子、スピン、電荷、マッピングを追加し、量子コンピュータがエネルギー準位を見つけるための構造を生成するための量子ビットの数を選ぶことができます。これによって化学の専門家は、ゼロからのアルゴリズム開発に没頭することなく、専門分野特有の知識や研究の科学的側面に集中することが可能となります。これはまさにプラグアンドプレイです。 

以下は、ヨーロピアンコールオプションの価格付けのための回路の2つのオプションです。1つは幅(回路内の量子ビット数)に対して最適化されており、もう1つは深さ(何個のゲートが並んでいるか)に対して最適化されています。

 

ビジュアライザーを掘り下げると、左側に回路情報の要約が表示され、深さ、幅、そしてこのアルゴリズムを実行するのに必要なゲート数を見ることができます。クリック1つで下画像の回路が生成され、深さが最適化されているため、ゲート・レベルでコードを手作業で書き直す時間を大幅に節約できます。 

しかし、まだもっと上手にやることは可能です。qubit数のようなパラメータを追加し、回路の深さや幅をモデルに最適化できるようにしましたが、この回路はまだ実際のハードウェアに最適化されていません。これはすべて、さまざまな最適化をテストするために変更できることを忘れないでください。

比較表によるハードウェア認知

「地図は領土ではない」

  • アルフレッド・コージブスキー  

生成された回路は、量子ハードウェアに直接実装されたものではありません。特定のハードウェアに依存しない高レベルの量子アルゴリズムは、合成(コンパイル)と翻訳(トランスパイル)を通じて、特定の量子ハードウェアと互換性のある命令に変換される必要があります。つまり、量子ハードウェアが受け入れるゲート(回転)、一連のゲートを1つの等価なゲートに統合すること、qubitのルーティングなどの調整などが含まれます。

Classiqでは、リストにあるハードウェアの中から好きなものを選び、コードを変更することなく実行することが可能です。   

これは便利ですね、しかしハードウェアの専門家でないという人はどれを選べばよいのでしょうか?

時間を大幅に節約できる便利な新機能が、ハードウェア比較表です。調べたいハードウェアを選択し、「ハードウェアの比較」をクリックするだけです。   

ここで表示されるのは、この回路に対する特定のハードウェアの実際のリソース見積もりです。この例では、単純なVQE回路では、IonQ QPUが、IBMのグアダルーペを、深さ、マルチqubit・ゲート数(単一qubit・ゲートよりもエラーが発生しやすく、時間がかかる)、総ゲート数など、すべてのパラメータで上回っていることがわかります。 

ハードウェアについて何も知らなくても(IonQ QPUがトラップドイオン量子プロセッサーであり、IBMグアダルーペが超伝導qubitであることはご存知でしょうか?今ならわかりますね!)、アルゴリズムを実行するにはIonQプロセッサーの方が良いかもしれないと考え始めることができます。

ハードウェアの比較表には、回路の実行時間やエラー率など、すべてが載っているわけではありませんが、とりかかりにはなります。それでも、もし確信が持てない場合は、余分な作業をすることなく、両方の量子ハードウェアで回路を実行し、出力を比較することが可能です。

コーディングも、異なるプラットフォーム用に同じコードを書き直すことも、手作業で最適化することもありません。こうして量子的な優位性が得られます。  

より深い最適化のための特定用途向け回路

自分の量子コンピューターがあったらどうでしょうか?私たちの研究者は、回路接続マップを使えば、カスタム・ハードウェアに同じ最適化ループを使えることに気づきます。

現在、量子コンピュータはクラウドをベースにしていますが、オンプレミスのソリューションも高い成長可能性を持っています( Hyperion Research: Snapshot of Quantum Computing Market 、05/2021)。オンプレミスシステムは、量子計算ハードウェアを早期に導入する多くの企業の要件を満たします。この要件とは、独自のデータ入力に対するデータプライバシーや、ハイブリッドアルゴリズムのための古典的コンピューティングとの統合を必要としています。 

しかし、オンプレミスシステム用にフルスタックの量子ソフトウェアシステムをゼロから構築することは、参入へのもう一つの障壁となります。

回路接続マップ(CCM)により、システムはqubitの接続性を理解することができます。つまり、CCMはqubit間の接続を可視化し、Classiqをカスタムハードウェアに使用することを可能にします。

このプラットフォームはアルゴリズム設計に重点を置くだけでなく、ハードウェアが継続的に変更にリンクされることを保証します。このソフトウェアとハードウェアの共生関係により、量子システムは量子的な優位性を獲得することができます。共同設計については、前回のブログ記事「The Key To Full Stack Quantum Computing」をご覧ください。 

"キュービット・ガイのポッドキャスト "について

The Qubit Guy(弊社最高マーケティング責任者ユヴァル・ボーガー)がホストを務めるこのポッドキャストは、量子コンピューティングのオピニオンリーダーをゲストに迎え、量子コンピューティングエコシステムに影響を与えるビジネスや技術的な疑問について議論します。ゲストは、量子コンピュータのソフトウェアやアルゴリズム、量子コンピュータのハードウェア、量子コンピューティングの主要なアプリケーション、量子産業の市場調査などについて興味深い見解を提供します。

ポッドキャストへのゲスト推薦をご希望の方は、こちらまでご連絡ください。

量子ソフトウェア開発を開始

お問い合わせ